This list was automatically generated from the Open Collector database for open hardware
tools/designs. All entries on this list reflect work which is available without
charge and with source - whether source code, or schematics and designs.
- Design tools and
software
- Hardware
designs
- Groups and
Organizations
-
- Brusey20
a tool which converts state diagrams into synthesizable
VHDL.
Details
- ChipVault
ChipVault is a project organizer for VHDL and Verilog RTL hardware
designs.
Details
- Circuit_macros
This is a set of macros for drawing high-quality line diagrams to
include in TeX, LaTeX, or similar documents.
Details
- Emacs VHDL Mode
VHDL Mode is an Emacs major mode for editing files containing VHDL
code.
Details
- GraphViz
Graphviz is a set of graph drawing tools for Unix or MS-Windows
(win32), including a web service interface (webdot).
Details
- Hardware::Verilog::Parser
The Hardware::Verilog::Parser Perl module contains a Verilog
grammar.
Details
- NGPaint
NGPaint arose out of discussions on how to post schematics to
newsgroups using minimal bandwidth.
Details
- QCAD
QCad is a 2D CAD system for Linux.
Details
- Source Navigator for Verilog
Source Navigator for Verilog is full featured tool for editing and
navigating through large projects with many verilog files.
Details
- v2html
html is a free perl script that takes verilog designs and converts
them to html.
Details
- VGI
VHDL Graphical Interface is a set of awk scripts which allow you
to enter structural VHDL and state machines with the graphic program
tgif.
Details
- VHD2VL
vhd2vl is a VHDL to Verilog translation program.
Details
- VHDL-GUI
A graphical tool for capturing, drawing, editing, and navigating
hierarchical block-diagrams, and for producing corresponding
structural VHDL code.
Details
-
-
- DigiTcl
DigiTcl is a graphical user interface which allows users to
construct and simulate simple digital circuits.
Details
- DILL
DILL (Digital Logic in LOTOS) is an approach for specifying
digital logic circuits and translating them to LOTOS.
Details
- DLSim
A java based digital logic simulator, designed to be used as an
educational tool.
Details
- EXOR
Exor is an object oriented digital circuit simulator.
Details
- FCoverage
Functional/Toggle Coverage tool using VCD dump file.
Details
- gdcsim
Gdcsim is a digital circuit simulator that can test the dickens
out of your design.
Details
- GNUPIC
An entire suite of PIC development tools under GPL, so that people
can program PICs using the platform and operating system of their
choice.
Details
- GOSSIP
The goal of the GOSSIP project is to develop and maintain a
simulation environment for use primarily in communications engineering
and digital signal processing.
Details
- gpsim
gpsim is a full-featured software simulator for Microchip PIC
microcontrollers.
Details
- GTKWave
GTKWave is a fully featured GTK+ v1_2 based wave viewer for Unix
and Win32 which reads industry standard VCD/EVCD files, a new format
called LXT and (if you're lucky) Synopsys(EPIC) TimeMill .
Details
- Jarp
An interactive Java-based tool for Petri Nets.
Details
- KLogic
KLogic is an application for easy building and simulating of
digital circuits.
Details
- LARD
LARD is a hardware description language developed for describing
asynchronous systems - though little is specific to that purpose, so
you could use it to descibe synchronous systems if you wanted, or even
as a general purpose programming language.
Details
- Modelica 1.3.1
Modelica is an object-oriented language for the development of
many types of simulator.
Details
- PCISim
PCISIM is both a logic simulation library and a PCI simulation
environment coded using the simulation library.
Details
- Polis
POLIS is a co-design environment for control-dominated embedded
systems.
Details
- Retro
Retro is a tool for designing synchronous hardware systems on
register-transfer level.
Details
- SigLab
SigLab is a calculator designed for working on signals.
Details
- SystemC
SystemC is a modeling platform consisting of C++ class libraries
and a simulation kernel for design at the system-behavioral and
register-transfer-levels (first versions are RTL with full
system-behavioural support coming later).
Details
- TCAD
TCAD is a suite of programs for IC process and device modelling
developed at Stanford University; older versions of the programs are
available under an open-source license.
Details
- The HACK Project
This application is intended to be used as a teaching aid for
computer architecture.
Details
- THUD
THUD is a register transfer level (RTL) simulation environment
optimized for cycle-based designs.
Details
- tlogsim
Tlogsim is an extensible graphical logic circuit simulator for GTK
2.
Details
- Vipec
ViPEC is a powerful tool for the analysis of high frequency,
linear electrical networks.
Details
-
- Al's Circuit Simulator
ACS is a general purpose mixed signal circuit simulator.
Details
- Autochar
The program autochar is used to automate the characterization of
digital circuits.
Details
- Bitgen
BitGen accepts text strings of 1's, 0's and hex digits and
converts them to equivalent pwl voltage sources for inclusion in
netlists for circuit simulation (with SPICE or Spectre, for
example).
Details
- Eaglesp3
Eaglesp3 is a Spice3 simulation upgrade and library for the Eagle
Light layout and schematics entry system from Cadsoft (Eagle Light is
free for non-commercial use).
Details
- GHDL
GHDL is a GCC front-end for the VHDL (IEEE 1076) language.
Details
- gMOS
gMOS calculates some 'fundamental' parameters (Gm, gds, vdssat
etc) according to inputs (W,L,Vg,Vs etc) of a MOS transistor.
Details
- GNUcap
GnuCap is the Gnu Circuit Analysis Package.
Details
- gwave
- MacSpice3f4
SPICE - Simulation Program with Integrated Circuit Emphasis, is a
general-purpose program developed at the University of California at
Berkeley for nonlinear dc, nonlinear transient, and linear ac
analyses.
Details
- ng-spice
The ng-spice project aims to improve the capabilities of the
spice3f5 circuit simulator from the Berkeley CAD Group.
Details
- PNS
PNS is a simple simulation system for extended place/transition
Petri nets.
Details
- SEAMS
SEAMS is a mixed-signal simulator for models written in the
VHDL-AMS language.
Details
- SLS
SLS is a switch-level simulator that can be used to simulate the
logical and timing behavior of digital MOS circuits.
Details
- Spice
- spicepp
spicepp is a preprocessor for berkeley spice3f5.
Details
- SUGAR
SUGAR is a simulation tool for MEMS devices based on nodal
analysis techniques from the world of integrated circuit
simulation.
Details
- TkGate
TkGate is a event driven digital circuit simulator with a
tcl/tk-based graphical editor.
Details
- Wave2hsp
wave2hsp is a non-gui (command line) spice/hspice stimuli
generator which reads a command file containing digital waveforms
specified using ascii characters.
Details
- Weblab
Weblab provides electronic circuit simulation with a Java-based
schematic entry and wave viewer, based on (Berkeley) SPICE, for any
OS/Server/Browser configuration.
Details
-
- Alice
Alice is a compiler for the OpenVera language.
Details
- ChipVault
ChipVault is a project organizer for VHDL and Verilog RTL hardware
designs.
Details
- CNets2000
CNets is an experimental, work-in-progress C++ class library that
makes it easy to capture, represent, and manipulate structural
(hierarchical) circuit descriptions.
Details
- Covered
Covered is a Verilog code coverage utility that reads in a Verilog
design and generated VCD dumpfile from that design and generates a
coverage file that can be merged with other coverage files and/or used
to create a coverage report.
Details
- Dinotrace
Dinotrace is a X-11 waveform viewer which understands Verilog
Value Change Dumps, ASCII, and other trace formats.
Details
- File I/O for Verilog
This is a PLI application to allow you to read ASCII and binary
files from Verilog, and write more than 32 files at once.
Details
- gtree
An initial version of an HDL tree viewer.
Details
- Hardware::Simulator
Hardware::Simulator implements a hardware descriptor language in
perl.
Details
- Hardware::Verilog::Parser
The Hardware::Verilog::Parser Perl module contains a Verilog
grammar.
Details
- HDLMaker
HDLmaker was written by Joshua Rosen and Polybus Systems Corp, as
an aid for the development of complex VHDL or Verilog FPGA
designs.
Details
- Icarus Verilog
Icarus Verilog is a gpled Verilog simulation and synthesis
tool.
Details
- IDaSS
The 'Interactive Design and Simulation System' allows mixed
graphics/text entry of complex RTL designs with integrated and
interactive simulation.
Details
- IPal
Icarus PAL is a toolkit which will be used by an Icarus Verilog
target module to generate 22v10 designs.
Details
- iSDF
iSDF is an SDF timing annotator for the Icarus Verilog VVP
simulation engine.
Details
- ivi
IVI (Icarus Verilog Interactive) is an interactive front-end to
the superb Icarus verilog compiler/simulator written by Stephen
Williams.
Details
- Jeda
Jeda is a C-like programming language for hardware design
verification.
Details
- pcisim
Verilog models for a smart memory, a PCI arbiter and a bus master
that can be operated by a remote process.
Details
- Scriptsim
ScriptSim is a tool designed to improve productivity in asic
design and verification.
Details
- Simsynch
SIMSYNCH is a digital logic simulator written for the SCM Scheme
implementation.
Details
- SMI
Alpha release of an open source library for creating C++ models of
hardware components used in Verilog hardware simulations.
Details
- Source Navigator for Verilog
Source Navigator for Verilog is full featured tool for editing and
navigating through large projects with many verilog files.
Details
- STDCell
A standard cell library for 0,35u.
Details
- TCLforEDA
This is the TCL for EDA tools Web site.
Details
- tgt-edif
Tgt-edif is an EDIF 2 output module for the Icarus verilog
compiler.
Details
- TkGate
TkGate is a event driven digital circuit simulator with a
tcl/tk-based graphical editor.
Details
- v2html
html is a free perl script that takes verilog designs and converts
them to html.
Details
- v2k
Verilog-AMS pre-processor/parser/database/elaborator.
Details
- VBS
VBS stands for Verilog Behavioral Simulator.
Details
- VCD Waveform Viewer
This is a tool for viewing vcd (value change dump) files under
linux vcd files are often produced by verilog simulators, and other
things.
Details
- Ver
Created to fill the need for a small portable Verilog compiler
that doesn't have the sourcecode size limits of the VeriWell training
simulator.
Details
- verilator
Verilator is a Verilog to C translator, and Verilog simulator
shell.
Details
- Verilog2C++
Verilog2C++ extracts a set of FSMs which a Verilog-RTL defines in
terms and translates the extracted FSMs to C++ statements.
Details
- Vertex
Vertex is a freely distributable Verilog parser: the intended use
of Vertex is a compiler front-end which generates syntax trees for
semantic analysis.
Details
- Vex
Vex is a toolkit for creating CAD tools that access and manipulate
verilog designs.
Details
- VHD2VL
vhd2vl is a VHDL to Verilog translation program.
Details
- vIDE
vIDE (which stands for Verilog IDE) is a fully featured,
cross-platform, integrated environment for designing, testing and
debugging Verilog applications.
Details
- VIS
VIS (Verification Interacting with Synthesis) is a tool that
integrates the verification, simulation, and synthesis of finite-state
hardware systems.
Details
- vl
The vl program is a Verilog simulator.
Details
-
- Alice
Alice is a compiler for the OpenVera language.
Details
- ASIP
The aim of the project is to generate fully synthesizable VHDL
code for a microprocessor given a set of parameters.
Details
- Brusey20
a tool which converts state diagrams into synthesizable
VHDL.
Details
- ChipVault
ChipVault is a project organizer for VHDL and Verilog RTL hardware
designs.
Details
- CNets2000
CNets is an experimental, work-in-progress C++ class library that
makes it easy to capture, represent, and manipulate structural
(hierarchical) circuit descriptions.
Details
- DGC - Digital Gate Compiler
DGC is a tool for the creation of digital netlists.
Details
- Electric
The Electric VLSI Design System is a framework for all
computer-aided design and engineering work.
Details
- Emacs VHDL Mode
VHDL Mode is an Emacs major mode for editing files containing VHDL
code.
Details
- FreeHDL
The project goals are to develop a VHDL simulator that: Has a
graphical waveform viewer; has a source level debugger; is VHDL-93
compliant; is of commercial quality.
Details
- Gael
Gael (GTk Assisted Electronics) is an electronic design automation
tool integrated into GNOME 2.
Details
- GHDL
GHDL is a GCC front-end for the VHDL (IEEE 1076) language.
Details
- Hardware::Simulator
Hardware::Simulator implements a hardware descriptor language in
perl.
Details
- Hardware::Vhdl::Parser
- HDLMaker
HDLmaker was written by Joshua Rosen and Polybus Systems Corp, as
an aid for the development of complex VHDL or Verilog FPGA
designs.
Details
- IDaSS
The 'Interactive Design and Simulation System' allows mixed
graphics/text entry of complex RTL designs with integrated and
interactive simulation.
Details
- pdcodes
The pdcodes program is a perl-based system for generating and
verifying implementation models of mathematical coding systems.
Details
- PICA
VHDL compiler and simulator from the University of
Pittsburg.
Details
- RHDL
RHDL stands for Ruby Hardware Description Language.
Details
- Savant
Savant is an extensible VHDL 93 analyzer/simulator supporting
parallel simulation across a variety of hardware platforms.
Details
- SEAMS
SEAMS is a mixed-signal simulator for models written in the
VHDL-AMS language.
Details
- SRecord
SRecord is a collection of powerful tools for manipulating EPROM
load files.
Details
- Vaul
VAUL, a VHDL frontend that wants to be complete, correct and
flexible.
Details
- VGI
VHDL Graphical Interface is a set of awk scripts which allow you
to enter structural VHDL and state machines with the graphic program
tgif.
Details
- VHD2VL
vhd2vl is a VHDL to Verilog translation program.
Details
- VHDL++
VHDL++ is an augmented version of VHDL.
Details
- VHDL-GUI
A graphical tool for capturing, drawing, editing, and navigating
hierarchical block-diagrams, and for producing corresponding
structural VHDL code.
Details
- vhdl-posix
This package provides support for accessing POSIX features from
VHDL.
Details
- vhdl2c
vhdl2c is a vhdl to `C' converter by Michael Knieser, based on
Thomas Dettmer's yacc grammar for VHDL.
Details
- VHDLParser
VHDL Parser is the first VHDL93 parser which was written in pure
Java.
Details
- XFuzzy
Xfuzzy is an environment for the design, verification and
synthesis of fuzzy logic based systems in software or hardware.
Details
-
-
- Alliance
The Alliance package was created at the former MASI Laboratory of
the Pierre et Marie Curie University (UPMC), in Paris with the goal of
providing undergraduate and graduate students with a complete CAD
framework, designed to assist them in a digital VLSI CMOS
course.
Details
- Boolean
The program is a viewer and editor for various types of file (such
as GDSII) used in the chip design industry.
Details
- Chipmunk
Chipmunk is a complete set of tools for ASIC design, including
schematic capture, simulation, and circuit layout.
Details
- gdsreader
gdsreader is a Calma (GDSii) reader/parser/print tool.
Details
- Magic
Magic is the classic ASIC layout tool developed at Berkeley in the
late 80s and still used today for chip design.
Details
- MSL Pad Library
This library contains MAGIC pad cells for the PixelFlow project's
custom chips.
Details
- UCLA P.D. Tools
The UCLA Capo large scale placement tool, the MLPart partitioner,
and an OO VLSI Database with Cadence LEF/DEF parser and support
libraries, developed at UCLA under funding from Cadence.
Details
- VHDLParser
VHDL Parser is the first VHDL93 parser which was written in pure
Java.
Details
-
- Chipmunk
Chipmunk is a complete set of tools for ASIC design, including
schematic capture, simulation, and circuit layout.
Details
- DGC - Digital Gate Compiler
DGC is a tool for the creation of digital netlists.
Details
- HDLMaker
HDLmaker was written by Joshua Rosen and Polybus Systems Corp, as
an aid for the development of complex VHDL or Verilog FPGA
designs.
Details
- JBITs Interface
The system consists of a modified version of vpack and vpr for
place and route, combined with a set of Java classes which use the
Xilinx Jbits API.
Details
- JBits Toolkit
The JBitsToolkit is a set of tools which use the JBits FPGA API in
order to perform a variety of functions.
Details
- JHDL
JHDL is a set of FPGA CAD tools which allows the user to design
the structure and layout of a circuit, debug the circuit in
simulation, netlist, and interface with backend tools for synthesis,
etc.
Details
- Streams-C
Streams-C aims to provide efficient compiler technology to map
high level C language descriptions into circuits for Field
Programmable Gate Arrays (FPGAs).
Details
- VPR
VPR is a placement and routing tool for array-based FPGAs.
Details
- XFuzzy
Xfuzzy is an environment for the design, verification and
synthesis of fuzzy logic based systems in software or hardware.
Details
- Xnf-tools
Ingo Cyliax has developed several tools to support Diglog (part of
the Chipmunk distribution) as a schematic capture environment for
Xilinx FPGA chips.
Details
-
- IPal
Icarus PAL is a toolkit which will be used by an Icarus Verilog
target module to generate 22v10 designs.
Details
- JamP
Linux port of Altera's Jam package.
Details
- Palstuff
A collection of source code relating to PAL design.
Details
- ptgnlfsr
ptgnlfsr generates a PLD (Programmable Logic Device) programming
table and also computes the LFSR/BILBO (Linear Feedback Shift
Register/Built-In Logic Block Observer) signature for function(s)
entered by the user.
Details
- Retro
Retro is a tool for designing synchronous hardware systems on
register-transfer level.
Details
-
- Espresso
Classic logic minimization package.
Details
- espresso-ab
A `cleaned up' copy of the ever popular Espresso logic
minimization tool from UCB.
Details
- Wave2hsp
wave2hsp is a non-gui (command line) spice/hspice stimuli
generator which reads a command file containing digital waveforms
specified using ascii characters.
Details
-
- CircuitSmith
CircuitSmith is to be a comprehensive electronic design package
being developed in Java which will include schematic capture, printed
circuit board layout.
Details
- Electric
The Electric VLSI Design System is a framework for all
computer-aided design and engineering work.
Details
- gdcsim
Gdcsim is a digital circuit simulator that can test the dickens
out of your design.
Details
- GenCAM API
A Java API for the GenCAM PCB description format.
Details
- gerb2tif
gerb2tif is a command line driven program that will read an input
file of Gerber RS273X format and generates a raster graphics
bitmap.
Details
- gerbv
Gerber Viewer (gerbv) is a viewer for Gerber files.
Details
- HDLMaker
HDLmaker was written by Joshua Rosen and Polybus Systems Corp, as
an aid for the development of complex VHDL or Verilog FPGA
designs.
Details
- kban
Kban is a manual PCB routing package for Windows 95.
Details
- Lasagne
This project aims at creating a new PCB (printed circuit board)
layout/CAD/CAM program.
Details
- MPCBDM
BDM Interface for MPC860/850/823 with gdb access: Here's a for
non-commercial usage free blueprint for a BDM interface for the
MPC860/850/823.
Details
- MUCS-PCB
The MUCS-PCB software was developed between 1985 and 1992 as part
of a research project exploring PCB routing algorithms.
Details
- PCB
Unix-based gpl-ed PCB design package.
Details
- PCBCA
A printed circuit board (pcb) autorouting software package .
Details
- Protel Easytrax drivers
Up-to-date video drivers for Protel EasyTrax/Autotrax/Dos
schematic.
Details
- Protel Easytrax printer driver
Up-to-date printer drivers for Protel EasyTrax/Autotrax/Dos
schematic.
Details
- Punto
PUNTO is a perl script for transforming and optimizing PCB
netlists.
Details
- SATCAD
SATCAD is a DOS-based schematic capture, pcb design and tooling
package.
Details
- TraxLaserPlot
Advanced PCB plotting for Autotrax / Easytrax using
postscript.
Details
- Xelen
Xelen is a program package for developing and simulating
electrical sheets and creating layouts for printed circuits boards
under Unix, Windows or MacOS.
Details
- XRoadMaker
XRoadMaker is a gnome printed circuit board designer.
Details
-
- KSimus
KSimus is a KDE tool for simulation, automatization and
visualization of technical processes.
Details
- WebLab
WebLab is a platform-independent client server application for
(e-)learning basic analog circuits.
Details
-
- ATLC
The programme ATLC calculates the impedance of transmission lines of
totally arbitrary cross section.
Details
- Balsa
Balsa is both a framework for synthesising asynchronous (clockless)
hardware systems and the language for describing such systems.
Details
- BEprommer
BEprommer is a command-line (e)eprom programming software for
Linux.
Details
- Boardstatus
Boardstatus is a Web-CGI/Postgresql database to manage electronic
prototypes, including batch lists, notes, and parameters.
Details
- Boolstuff
BoolStuff is a small C++ library that supports a few operations on
boolean expression binary trees.
Details
- BSOSC
Bsosc is a Bitscope oscilloscope to handle the Bitscope data capture
device.
Details
- Cascade
Cascade is a program for analyzing the noise and distortion
performance of a cascade of elements in an electronic system.
Details
- Classic Ladder
A project to have a free ladder language for PLCs in C.
Details
- ColdFire Emulator
This is a Motorola Coldfire 5206 Emulator.
Details
- Crosstalk Analizer
Crosstalk Analizer is an application to detect crosstalk problems in
submicron circuits (chips).
Details
- CynLib
For a hardware description language to become a standard requires
time and a lot of real-world experience.
Details
- Dsim
Esim is a project that will allow you to design an electronic
circuit using a schematic editor, then simulate that circuit in
software.
Details
- E.Smith
Electronic Smith chart generator in Java.
Details
- EBS
The purpose of the Experimental Boundary Scan project (EBSp) is to
provide completely open and flexible software support for commercially
available JTAG/IEEE 1149-1 boundary scan master (BSM) controllers.
Details
- EDIF Parser
- EMC
The NIST Enhanced Machine Controller project runs on top of the
realtime Linux kernel.
Details
- Firmware Factory
Firmware Factory is a public domain Windows95/NT based development
environment for microcontrollers.
Details
- Flex
FLEX is an intelligent compiler project including the FLEX compiler
infrastructure, and a Java-to-hardware compiler, Harpoon.
Details
- FreeAbel
Free Abel is a free (GPL) set of programs for use with Abel-HDL
files.
Details
- gEDA
gEDA and friends (gaf) is a collection of tools which are used for
electrical circuit design, simulation and prototyping/production.
Details
- gerber2eps
Gerber2eps is a small program for converting Gerber RS-274D files to
Encapsulated Postscript (EPS).
Details
- GPIB-TCL
GPIB-Tcl is an extension to the Tcl programming language to allow
communication with measurement equipment through the industry-standard
IEEE-488 (GPIB) bus.
Details
- GtkBlowit
- ianjtag
ianjtag tools are a collection of code and a set of tools for using
the JTAG interface (present in most modern microprocessors) to perform
hardware tests, and for programming Flash Memory Devices connected to
the processor's bus.
Details
- JTAG Tools
JTAG Tools is a software package which enables working with
JTAG-aware (IEEE 1149.
Details
- jtag-util
This is a first attempt to make a software base layer for host-side
access to JTAG Test Access Ports.
Details
- KDMM
KDMM is an application to use digital multimeters with serial
interface (RS 232) together with your computer.
Details
- KFilter
KFilter is an application to design acoustic hifi loudspeakers in a
theoretical way.
Details
- kic
Kic is a toy integrated circuit layout editor, which has actually
been used to produce some fairly complex designs.
Details
- KSimus
KSimus is a KDE tool for simulation, automatization and
visualization of technical processes.
Details
- LMeter
LMeter allows one to take a multilayer superconductive cell layout
and calculate its inductance matrix (which incorporates both self- and
mutual-inductances).
Details
- MPAC
MPAC is a multiplatform tool to calculate various electrical
performance parameters of a rectangular microstrip patch antenna.
Details
- Multimeter
Multimeter is a simple command line utility that reads values from a
Metex 4650CR or ME-11 digital multimeter using the serial
interface.
Details
- MVSIS
MVSIS, a program designed for synthesis and optimization of
multi-valued logic networks, is modeled after SIS (a binary logic
optimization program for hardware).
Details
- nlc
Christian Iseli's C++ to netlist (Viewlogic WIR, Xilinx XC4000)
compiler.
Details
- OpenMORE
Mentor Graphics Corporation and Synopsys Inc have released the
jointly developed OpenMORE (Open Measure of Reuse Excellence) reference
assessment program for evaluating the reusability of hard and soft
intellectual property (IP) cores for System-on-Chip (SoC) designs.
Details
- Oregano
An electrical engineering tool for GNOME.
Details
- Physical Design Tools
The UCLA/ABKgroup Physical Design Tools contain over 100,000 lines
in C++ implementing leading-edge industrial-grade VLSI Physical Design
tools: Capo placer, MLPart partitioner, object-oriented UCLA DB database
with LEF/DEF parser and all supporting libraries.
Details
- Pinout
Pinout is a perl script which makes it easy to produce nice looking
postscript ballout/pinout images for BGA or PGA type integrated
circuits.
Details
- PP06 Programmer Software
Production (3 level) Programmer for Microchip PICs.
Details
- Pumpkin
Pre-synthesis optimization package for Olympus.
Details
- Qfsm
Qfsm is a graphical editor for finite state machines written in C++
using Qt the graphical Toolkit from Trolltech.
Details
- QMC
The Quine McClusky Simplification Tool optimizes boolean equations
by using the Quine McClusky process.
Details
- QtDMM
QtDMM is a simple DMM readout software including a configurable
recorder.
Details
- QtDSO
QtDSO is a simple frontend for the Velleman PCS64i digital
oscilloscope.
Details
- RealTerm
RealTerm is Terminal Software for engineers.
Details
- S-Record
The SRecord package is a collection of powerful tools for
manipulating EPROM load files.
Details
- Sarlacc
Convert ORCAD schematics to gEDA format.
Details
- ScriptEDA
ScriptEDA uses scripting languages such as Perl and TCL to provide a
standard scripting facility for EDA tools.
Details
- SDCC
SDCC is a Free ware , retargettable, optimizing ANSI - C compiler
which targets Intel 8051 based MCUs, but can be retargeted for other 8
bit MCUs or PICs.
Details
- SecsTcl
Implements the communications stack for semiconductor fabs based on
SEMI standards.
Details
- Simple Electronics Library
Simple Electronics Library is a collection of basic electronics
symbols intended for drawing schematics in Xfig in a easy/fast
way.
Details
- SpiProg
This is a little programmer for SPI (Serial Periphery Interconnect)
EEPROMs such as, but not limited to, Xicor X25640, X25650; Atmel
AT25080, AT25160, AT25320 and AT25640.
Details
- SPP
SPP (Synopsys Plus Perl * ) is a Perl module that wraps around
Synopsys' shell programs.
Details
- TeamBots
TeamBots is a Java-based collection of application programs and Java
packages for multiagent mobile robotics research.
Details
- TinyCad
TinyCAD is a schematic capture program for Windows.
Details
- TinyEDA
This is a schematic entry package written in Pascal for the Windows
platforms.
Details
- tragesym
tragesym is a python script that creates symbols out of text.
Details
- TransCalc
Transcalc is an analysis and synthesis tool for calculating the
electrical and physical properties of different kinds of RF and
microwave transmission lines.
Details
- Turns-n-Layers
Turns-n-Layers is a command line transformer and induction coil
design aid that calculates the amount of wire needed, both length (feet)
and weight (lbs).
Details
- UDL/I
Unified Design Language for Integrated Circuit (UDL/I) is a Hardware
Description Language (HDL) for logic synthesis.
Details
- Voyeur
Voyeur is a visualization tool which displays a circuit schematic on
the screen.
Details
- XChipLogo
Xchiplogo is an interface to chiplogo, which is a simple and small
program for generating pretty logos for putting on your chips and have a
proof that YOU or YOUR FIRM have designed that chip.
Details
- XCircuit
XCircuit is a UNIX/X11 (and Windows with an X-Server running)
program for drawing publishable-quality electrical circuit schematic
diagrams and related figures, and produce circuit netlists through
schematic capture.
Details
- Xelcad
XelCAD is a X-based application, designed to create electrical
circuit layouts.
Details
- XPortShot RT
An oscilloscope simulator capable of displaying multiple data
channels.
Details
- XRLCAD
This package contains a C++ class library to manipulate Structure
(as in Calma/CIF) hierarchies.
Details
-
- Altera FreeCore Library
The Altera FreeCore Library once started as a site for free function
modules for Altera CPLD devices.
Details
- FPGA Arcade
Modern FPGA (Field Programmable Gate Array) devices allow a whole
1980s arcade PCB to be fitted into a single chip.
Details
- Free Model Foundry
FMF provides open source VHDL and Verilog simulation models of
off-the-shelf digital electronic components.
Details
- Hamburg VHDL Archive
The archive intends to provide a collection of free, that is
public-domain or shareware, VHDL documentation, models, and tools.
Details
- Open Verification Library
The Open Verification Library (OVL) is an initiative to provide
designers, integrators and verification engineers with a single,
vendor-independent interface for design verification using simulation,
semi-formal and formal verification techniques.
Details
- OpenCores
OpenCORES endorses development of open source, free IP cores.
Details
- The Free-IP Project
The Free-IP project is an effort to make quality IP available to
anyone.
Details
- VHDL Soft Cores
High performance FPGA versions of popular microcontrollers.
Details
-
- DIY 6502 prototype
There are many eight-bit processors, which have been around for a
long time.
Details
- GnuBook
A design for a durable and maintainable computing unit with openly
published technical specifications at a cost which compares with
computers of limited utility that are considered disposable.
Details
- Hackinjoe
- OOMECS
The Object Oriented Modular Electronic Component System (OOMECS) is
a free (as in free speech, not free beer) computer hardware and software
architecture.
Details
- Pop Computers
Pop Computers is the first commercial venture to come out of The
OpenPPC Project, co-founded by Pop Computers President Tom Geller.
Details
-
- 6502.org
This site has been established to provide a resource for people
interested in developing hardware or writing software for the 6502
microprocessor.
Details
- AVR Device Programmer
The AVR Device Programmer Development Kit is a comprehensive and
cheap solution to programming and using the Atmel AVR processors ( only
the AT90S1200 and AT90S1200A processors are supported at this time
).
Details
- CREAT
A collection of open microcontroller (68HC811) software tools and
hardware designs with PCB layouts and schematics.
Details
- LART
Linux Advanced Radio Terminal (LART) is a small low power computing
element used in the MMC project.
Details
- MAPP
AVR microcontroller designs and tools under gnu licence.
Details
- NF300 Microcomputer
The NF300 is a small but powerfull embedded control computer which
has ben developed at the Fachhochschule Nürnberg.
Details
- Pleb
The goal of the PLEB project is to design and implement hardware
& software platforms to aid researchers/hobbiests in the area of
portable/embedded systems.
Details
- The Computer Journal
Supporting the trailing edge of technologyThe focus of The Computer
Journal is source code and schematics for do-it-yourself software and
hardware projects.
Details
- The Handy Board
The Handy Board is a 68HC11-based controller board designed for
experimental mobile robotics work.
Details
- Val's MC68HC11 Emporium
The emporium is dedicated to the users of the Motorola MC68HC11
microcontroller chip.
Details
-
- CMOSexod
Free designs for Lattice CPLDs, including 12 bit DSP core and
peripherals, 8-bit microprocessor, etc.
Details
- CMU-DSP
The CMU-DSP is a synthesizable digital signal processing core with
functional testing included.
Details
- Coldfire104
Coldfire104 is a low cost Motorola Coldfire 5272 processor that
comforms to the PC104 mechanical specification.
Details
- CPCNG
The aim of the CPCNG project is to create a free computer based on
the same ideas as the family computers of the 80s like the Amstrad
CPC.
Details
- Dragonfly
The DRAGONFLY core is a simple 3 stage pipeline processor with a
true Harvard architecture (data and program memory are separated and
accessed in parallel).
Details
- Dragonfly
The DRAGONFLY micro core has been designed as a small (less than 4K
gates), fast and programmable core.
Details
- E-RISC
The E-Risc is a project to design and implement a scaleable RISC
microprocessor for embedded applications.
Details
- ERC32
The ERC32 VHDL models consists of fully functional, timing accurate
VHDL models of the SPARC integer unit, floating-point unit and memory
controller.
Details
- ETC LxScope
LxScope is a set of Linux software drivers for the M221 and M621
digital oscilloscope cards for PCs.
Details
- EZ328SIMM
Objective: To produce a simpler, more practical, reference design
for using the MC68EZ328 processor at a reduced cost with the resulting
design running Linux®.
Details
- FPGACPU.org
The purpose of this web site is to explain and promote the design
and implementation of FPGA-based CPUs and integrated
systems-on-a-chip.
Details
- Freedom CPU
Aims at design and eventually manufacture of a 64-bit CPU .
Details
- Gem PowerPC Platform
The GEM PowerPC Platform will be a powerful and flexible network
appliance base platform.
Details
- gpprog
Gpprog is a fast and reliable PIC16F84 programmer.
Details
- Jam CPU
The JAM CPU is a 32bit 5 stage pipelined RISC core with forwarding
and hazard handling.
Details
- Kiwi
The goal of the Kiwi project is to create the hardware and software
necessary for a usable Linux PDA.
Details
- KUE-Chip
A RTL description and test patterns of Kyoto University Education
CHIP-2 (KUE-CHIP2) are open to public.
Details
- Leon2
The LEON core is a SPARC compatible integer unit developed for
future space missions.
Details
- Leox
LEOX stands for the LEON toolbOX.
Details
- Luna Computers
Classic octal computers of 24,32, and 36 bits.
Details
- m65
A synthesizable 6502 design using the Parthenon HDL.
Details
- Morphy One
The Japanese Open Hardware Palmtop Computing Association (OHPA), a
voluntary user group, is designing and developing a new palmtop
computing platform (PCP, not PDA), currently called Morphy One.
Details
- MSL16
The MSL16 machine is a CPU optimised to run the Forth programming
language.
Details
- MuP21
This is not a free processor, but its here to show you can produce
your own processor without being Intel! The term MISC refers to Minimal
Instruction Set Computers in general, and to the chips designed by Chuck
Moore at Computer Cowboys.
Details
- mZ80
- OpenBIOS
PCs have had BIOSes since the dawn of time.
Details
- OpenCores54x DSP
The OpenCores54x (OC54x) DSP core is a cleanroom Verilog
implementation of the TI C54x DSP.
Details
- OpenUP
This project is designing a general-purpose 8-bit fpga-based
microprocessor using VHDL .
Details
- Piranha
Piranha is a spin-off from the Freedom CPU project.
Details
- PonyProg
PonyProg is a serial device programmer software with a user friendly
GUI framework available for Windows95, 98 & NT and Intel
Linux.
Details
- risc16f84
The risc16f84 project is intended to provide a small, easy to use
microcontroller in Verilog.
Details
- Risc8
A fast, low-power, synthesizable RISC processor, which also comes
with an assembler.
Details
- Ronja
Ronja connects two PC's point-to-point, using a common red-orange
visible light from a car brake light LED.
Details
- Sayuri
VHDL design for a 32 bit processor, currently running at 75MHz in a
Xilinx XCV400.
Details
- Simputer
The Simputer Project, initiated by the Simputer trust, aims at
developing low cost access device that can pervade the rural landscape,
especially in third world countries.
Details
- STM
STM is a 32-bit, 2-way superscalar RISC processor, designed in an
HDL.
Details
- Synthetic PIC
The Synthetic PIC is a Verilog synthesizable model of the PIC
16C5X.
Details
- T80
T80 is a Z80, 8080 and gameboy CPU written in VHDL.
Details
- tbCPU
This CPU was designed with the aim to fit it into a 32 macrocell
CPLD.
Details
- TE16
TE16 is a small free 16-bit CPU designed for Xilinx's low cost
XC5200 series FPGAs.
Details
- TRAJA
Java processor design for synthesis using Parthenon (freely
available but binary only synthesis system).
Details
- VHDL PIC16C5X Model
VHDL synthesizable model of PIC16C5X microprocessor.
Details
- XESS Database
At this momment only 2 designs (DSP CORE and Ram Controller).
Details
- Xproz
Documentation (Word for Windows) and schematics (WORKVIEW) for a
computer built with only 4 XILINX FPGAs.
Details
- xr16vx
xr16vx is a 16-bit microcontroller design for FPGAs, released as
open source programming under the GPL.
Details
- XSOC
The XSOC System-on-a-Chip, includes the new xr16 pipelined RISC
processor core, on-chip bus, bus/memory controller, and integrated
peripherals (parallel port, bilevel VGA controller), all in a single
Xilinx XC4005XL FPGA.
Details
- ZXGate
A complete implementation of the old Sinclair ZX81, in a single FPGA
(currently implemented for Spartan II).
Details
-
- BitScope
BitScope is a low cost data acquistion device configured as an
RS-232 perhiperal.
Details
- Boondog
Want to interface your PC and build your own robot?
Details
- CAN200
This project gives you the hardware (well, not really.
Details
- Ethernet MAC Core
A full Verilog implementation of the 10/100 MBps Ethernet MAC
level.
Details
- gTag
gTAG is a project to build a USB-controller that talks JTAG
(IEEE1149).
Details
- I2C controller core
The OpenCores I2C Master Core provides an interface between a
Wishbone Master and an I2C bus.
Details
- PCI Bus Resources
PCI VHDL models and synthesis files
Details
- RS232 system controller
Rs232_syscon is a synthesizeable soft core that allows debugging of
peripherals connected to a Wishbone type of bus.
Details
- Simple UART
This is a Simple Asynchronous Serial Controller in Verilog.
Details
- Single Slot PCM Interface
Simple Verilog PCM interface, allowing interfacing to such popular
devices as TI DSPs (via McBSP bus) in PCM mode.
Details
- USB 1.1 PHY
Very simple Verilog USB 1:1 PHY.
Details
- USB 2.0 Function Core
- Wishbone/PCI Bridge
The PCI Bridge Soft Core is a complete, synthesizable RTL (Verilog)
code that provides bridging between the PCI and a WISHBONE
(System-on-Chip) bus.
Details
-
- 80C535 Microcontroller Board
This board is used in a home control system which is called
Homebus.
Details
- Aeromation
The 'Open Source Autopilot Computer' for Owner Built Aircraft.
Details
- Caraca
CARACA is a home automation project based on a net of interconnected
programmable circuits suited to perform different tasks like switch
on/off appliances, decode commands from Ir remote control units, control
thermostats, measure temperature and more.
Details
- DROPlus
DROPlus is primarily a digital readout, just like you see used on
mills and lathes in every machine shop in the world, but it's also more
than that.
Details
- Homebrew Automation Project
The Home Brew Home Automation Project will produce specifications
for hobbyists to build and extend a complete control system with
inexpensive and non-proprietary parts and protocols.
Details
- Linux In A Box
The aim of the Linux in a Box (LIAB for short) project is to promote
cheap and flexible microcontroller solutions by combining embedded
processors with the Linux operating system.
Details
- ODDAS
The Open Distributed Data Acquisition System (ODDAS) will be a
distributed data acquisition/control system which can be put together at
minimal cost and with a reasonable amount of effort.
Details
- OMNE
The purpose of the Open Micromanufacturing and Nanomanufacturing
Equipment (OMNE) project is to promote innovation in the design and use
of manufacturing equipment for micro-scale and nano-scale
products.
Details
- Toast
Complete design files for the Toast ColdFire Controller Board.
Details
-
- EYCar
The EYCar is an autonomous car that navigates by a rotating
laserbeam.
Details
- IR Proximity Detector
A PIC-based modulated infrared proximity sensor with dual angled
transmitters and single receiver.
Details
- Lego Robotics
Designs based on the Lego Mindstorms product, a
robot-kit-in-a-box.
Details
- Open Source Motor Control
The OSMC is intended for robotic combat, but is suitable for any DC
motor control application.
Details
- Proyecto Hardware Abierto
A project started by the Spanish Microbotica company.
Details
-
- ELMP
The purpose of this project is to create the hardware and software
required to produce a stand-alone MP3 player for a home stereo
system.
Details
- Nichols Communicator
The Nichols Communicator is a device designed to give people who
cannot speak a voice.
Details
- Ogg Vorbis on a Chip
An implementation of an Ogg Vorbis audio decoder using a LEON
processor, on an FPGA.
Details
- The MPFree Project
MPFree is about open source hardware designs for builing a car MP3
player, showing how to hookup LCD and VFD displays and keypads.
Details
-
- Closed-Caption Decoder
This is a closed-caption decoder with serial output, based on a
PIC16C71, an Ilantec EL4581C sync separator, and an LM393 dual
comparator (for data slicing with automatic threshold).
Details
- DVE
The Digital Video Encoder (DVE) is the first open-source broadcast
quality video encoder, suitable for multimedia or video
applications.
Details
- LML33
Linux Media Labs LLC is manufacturing and marketing a video capture
card LML33 specifically for the GNU/Linux market.
Details
- Manticore
Manticore is an open source hardware design for a 3D graphics
accelerator.
Details
- VGA/LCD Controller
The OpenCores VGA/LCD Controller core is a WISHBONE rev B2 compliant
embedded VGA core in Verilog and VHDL capable of driving CRT and LCD
displays.
Details
-
- Blowfishvhdl
An implementation of the Blowfish cryptographic algorithm targetted
to a Xilinx XCV1000 FPGA using Synplify and the Xilinx map/place/route
tools.
Details
- CORDIC core
A VHDL implementation of the CORDIC algorithm for computing
functions such as sine, cosine, and arctangent using only elementary
operators such as shifts, adds/subtracts, and compares.
Details
- DES core
Two verilog implementations of the DES encryption algorithm, one
optimized for area, the other pipelined for higher performance.
Details
- Pg99
A student team at the University of Stuttgart has developed a set of
chips for use in Cryptography, including RSA, DES, and control
functions.
Details
- Pipelined DES
This is a VDHL implementation of a pipelined DES encryption
system.
Details
- Rijndael core
This is a highly optimized Rijndael encryption core designed by
Nicholas Weaver, (nweaver@cs.
Details
-
- CemTach
Our goal is to develop systems simulations capabilities based on
time-domain computational electromagnetics methods.
Details
- Guerrilla.net
An attempt to create an Internet-independent network based on
amateur radio, with the twin aims of strong privacy (through encryption)
and freedom from commercial ISPs.
Details
- Homebrew QRP TRX
Our group is currently developing a RF transceiver for portable
use.
Details
- PCISCC-4
PCISCC-4 is a medium-speed four-port PCI compatible SCC (Serial
Communication Controller) plugin card for connection of synchronous
serial network devices, typically ham-radio modems.
Details
- Project BAMBI
The design, construction, and initial observational results of a 4
GHz amateur radio telescope are described in this first report from
Project BAMBI (Bob And Mike's Big Investment).
Details
- Weersatellietontvangst
A simple to build decoder for weather satellites transmitting HRPT,
CHRPT and HRI (PDUS), based on two FPGAs (Lucent or Xilinx 3042).
Details
- Wireless Network How-To
A complete how-to covering the design and building of a low cost
wireless network in the 2.
Details
- Wireless-open
An 8052-based wireless LAN card.
Details
- Yet Another 9k6 Modem
The modem is capable of 9600 bit/s operations and interfaces
directly to the PC serial port from which it is also powered.
Details
-
- 8b10b encoder/decoder
A Verilog 8b10b encoder and decoder, complete with testbench.
Details
- Alltel
Alltel is a piece of hardware which allows its user to monitor the
phone line in several ways not supported by normal modems.
Details
- fractional-N divider generator
Perl script to write VHDL and Verilog to implement a fractional-N
fixed frequency divider.
Details
- ITU-T G.711 core
VHDL implentations of the standard A-Law and u-Law speech
codecs.
Details
- reed solomon codec
A fully synthesizable (255,247) Reed-Solomon Codec in verilog
format.
Details
- uWebserver
Microwebserver project with schematics and pcb layouts.
Details
- WWWpic2
WWWpic2 is a web server for a Microchip PIC 16F84.
Details
-
- FIFO buffer
The First-In First-Out memory buffer design is targeted to Xilinx
FPGA XC400 family.
Details
- FPGA-based Logic Analyzer
This is a 32-channel logic analyzer based on an Altera FPGA.
Details
- FPGA-evb-S2
FPGA-evb-S2 is a low cost Xilinx Spartan-II FPGA evaluation
platform.
Details
- MGuide
This is a design for a genetic microarray production system; it
isn't directly an electronics-related entry: see the O.
Details
- MhProgrammer
MhProgrammer is a free alternative to expensive and limited IC
programming devices.
Details
- MPGA
This is an open source design for an FPGA to be implemented on an
FPGA; a meta-FPGA (hence the name).
Details
- Oscar
A project to develop an open-source car.
Details
- PAM-Blox
PAM-Blox is a free/open repository of object-oriented circuit
generators for Xilinx XC4000 FPGAs targeted at high-performance adaptive
computing.
Details
- Prototype board
This board is going to be simple and generic board for prototyping
digital designs.
Details
- SOC Development Platform
SOC- Development Platform - This project aims to develop a low cost
PLD platform for learning about PLDs and testing some of the open source
and other IP cores.
Details
- SOCPLAT1
This project aims to develop a low cost PLD platform for learning
about PLDs and testing some of the open source and other IP cores.
Details
- STM
This site describes my ongoing efforts to home-build a Scanning
Tunneling Microscope (STM).
Details
- STM
The goal of this project is to build a simple Scanning Tunneling
Microscope that can resolve atoms, with a cost of materials less than
$100.
Details
- Synthesizable 8051
A VHDL synthesizable model (for Synopsis) of the 8051 and a C++
based 8051 instruction-set simulator, together with a tuning
environment, to assist a designer who wants to modify the 8051
architecture to be more power efficient for a particular program You
see, a particular 8051 will probably execute the same program over and
over for its lifetime, so it would be nice to orient the 8051 towards
that program.
Details
- XOscope
Xoscope (or oscope) is a digital oscilloscope using input from a
sound card and/or a ProbeScope/osziFOX.
Details
- Boondog
Want to interface your PC and build your own robot?
Details
- Free Computers
The most common failed search request in the database is for 'free
computers'.
Details
- FreeIO.org
FreeIO is a website dedicated to the cooperative development of free
hardware designs, and drivers for them.
Details
- gEDAbr
A Brazilian portal site for everything related to gEDA and PCB.
Details
- Linux Hardware
The goal of this site is to provide a place where Linux users can
submit information about their systems or the performance of specific
pieces of hardware under Linux.
Details
- MSX Core Club
Non-profit organization started its activities in july of 1993 with
the following objectives: - To develop software and hardware - To organize
meetings and join expositions - To create and to translate documentations,
manuals and courses - To solve games, making routes, cheats and maps - To
keep a collection of software, books, magazines and schematics
Details
- OC News Pages
I've just realised that many users of the database may not know about
the OC news pages - many links to this site point here directly, bypassing
the front page.
Details
- Open PPC
The immediate goal of the project is to enable interested parties to
build inexpensive, PPC-based Linux boxes from IBM's reference plans.
Details
- Open Source for Open Telecom
This site is devoted to Open Source (tm) software for computer
telephony, specifically focusing on hot-swap and circuit switching
capabilities for systems built with CompactPCI and the H.
Details
- openH.org
- OpenHardware.net
This site is devoted to people who wish to share their embedded system
designs with others.
Details
- OpenIP organization
This project is intended to define an openHardware license and provide
hardware designers with many free open designs and ideas using free open
design tools.
Details
- OpenTech CDROM
The aim of this project is to compile open hardware designs and free
design tools and put them in a single distribution CDROM to be available
for all hardware designers.
Details
- Otherpower.com
- Spindletop
Spindletop is in the process of becoming the first (and only) GNU
Cooperative, s upporting the hardware needs of end-users of free software
such as GNU/Linux.
Details